Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2010-06-01から1ヶ月間の記事一覧

HLS : 次に来るのは AutoESLか?

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DeepChipに、 Subject: (ESNUG 482 #6) User experiences with HLS and AutoESL's AutoPilotがアップされています。 結構、詳しく書いてあります。 P…

VMM 1.2.1リリース

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった VMM CentrallからVVM 1.2.1がリリースされました。 詳細はリリースノート見てねって。Janickoさんが、 UVMがある程度普及するまでは、 VMMもアップデ…

GarySmith氏の講演でBluespecが

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 EDAExpressのツイートによると、 GarySmith氏の講演 BluespecはSoftware Virtual Prototypeにおけるモデリングの問題を解決するだろう だって、ま…

UVM : UVM Container - Easier DUT to TB connections

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OVM WorldにUVM Container - Easier DUT to TB connectionsがアップされました。 これらは、メンターからのリリースです。 検証、Verification、Syst…

IP-XACTがIEEE1685-2009に

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった IP-XACTがIEEE1685-2009になって、仕様が公開されました。 SystemC(IEEE1666)と同様に、ダウンロードできます。 検証、Verificatio、IP-XACT

UVM EAの例題、動作確認

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM EAの例題をModelSim AE 6.5bで確認しました 例題24中、エラーが10(randomize関連が8で、`uvm_object_utils_beginマクロが2つ) `uvm_object_utils…

UVM : UVM_RGM2.2 Release

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 UVM Worldにkeywords=UVM_RGM2.2_Release# UVM_RGM2.2 Releaseがアップされました。 OVM_RGM2.2のUVM版です。 これらは、ケイデンスからのリリース…

続見ておけリスト!

Verification Engineerの戯言 : SystemVerilogの世界へようこそ 見ておけリスト!だけではありません。 まだまだ、あります。 47th DAC: To your lists... get set... go! # Gary Smith’s “http://garysmitheda.com/paper/What2SeeDAC2010.pdf“ # John Coole…

UVM Reference Flow 1.0

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 ケイデンスのブログ:A New Toy for UVM Geeksには、 UVM Reference Flow 1.0: Overview and Capabilitiesというものがアップされています OpenCor…

SystemC Japan 2010、定員300名登録を超えた

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemC Japan 2010は、7月2日でお伝えしましたが、定員300名の登録を超えたようです。 まだ、登録できます。 All of SystemC : SystemC Japan 検証…

Bluespec User Group Meeting 2010開催

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 富士通が我が道を行く米BluespecのESL合成ツールを採用、この記事にもありますが、7月13日(火)に第二回目のBluespecユーザーグループミーティング…

買収の話

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 DeepChipのSubject: Rumors on Synfora, Forte, CatapultC, AutoESL, CoWare, Calypto, EVEでは、 各社の買収に関する話があります。 既に、CoWare…

Synopsys、PICOを買収

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 くるか、PICOでの予測とは、Xilinx関連で盛り上がるかもしれないと思っていましたが、 SynopsysがPICOを買収しました(資産買収) ファウンダーもEXI…

Accellera Breakfast and Panel Discussion: "UVM: Charting the New Territory"

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 Accellera Breakfast and Panel Discussion: "UVM: Charting the New Territory"が15日に開催されます。 なんでこんなに早いのでしょうか? 7:30 a…

High Level Synthesis Blue Book

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 メンターがHLS Blue Bookを書籍としてリリースしました。 High Level Synthesis Blue Bookから購入できます。 eBook ($119.99)、Trade Hardback 6x…

ARM : VSTREAM

Verification Engineerの戯言 : SystemVerilogの世界へようこそ ARMには、VSTREAM Virtual Debug Interfaceというものがあります。 MentorのVeloce、CadenceのPalladiumとSCE-MI 2.0と接続できるようです。 PDFファイルによると、RoadMap 2010として、 ・Cad…

HLS : Catapult C Synthesis, SystemC Support デモビデオ

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 Catapult C Sythesis – Adds SystemC, Expands Full-Chip Capabilitiesでは、デモビデオを公開されています。 見てみましょう! 検証、Verificatio…

Aldec Riviera-PROもUVM/OVMをサポート

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 AldecのRIviera-PROもOVM/UVMをサポートするようです。 DAC2010にて、 OVM and UVM - Building a SystemVerilog Testbench in Riviera-PROというセ…

Mentor Graphics Underscores Support for OVM and Extends That Support to UVM Across Multiple Products

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 Mentor Graphics Underscores Support for OVM and Extends That Support to UVM Across Multiple Products ・Questa?? advanced verification pla…

Denaly Party 2010 - Monday Night

Verification Engineerの戯言 : SystemVerilogの世界へようこそ いよいよ、来週からDAC 2010が始まります。そして、来週の月曜日の夜は! Denaly Party 2010 - Monday Night EDA360 Idol - Sponsored by Cadence USTREAMで中継してくれ! 検証、Verification

OVM at DAC 2010

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 DAC2010でOVM at DAC 2010: Anaheim Convention Centerが行われます。 OVM/UVMに関するものがお腹一杯です。 EDA-Expressのリアルタイムレポートに…

UVM : A Register Package for UVM - uvm_register-2.0 Release

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 OVM WorldにA Register Package for UVM - uvm_register-2.0 Releaseがアップされました。 基本的には、A Register Package for OVM - ovm_registe…

今月(5月)の映画鑑賞

映画好きの戯言 今月は、6本(劇場:0本、DVD:5本、GyaO:1本、テレビ:0本) エド・ウッド/ED WOOD(1994)(DVD) ファーゴ/FARGO(1996)(DVD) ビューティフル・マインド/A BEAUTIFUL MIND(2001)(DVD) X-MEN:ファイナル ディシジョン/X-MEN: THE LAST STAND(200…

見ておけリスト!

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 来週の日曜日(6/13)日からDAC2010が始まります。 毎年恒例のGarySmithのこれだけは見ておけリスト。 3大EDAベンダー ・Synopsys : Software Virtua…

CoFulentがPOSIX APIをサポート

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 CoFluent Design Adds Embedded C Code Generation to its UML and systemC-Based Modeling and Simulation Toolsetによると、Cofluentのツールは…

Forteの記事

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 ForteのCTO John Sanguinettiさんの記事、Transitioning from C/C++ to SystemC in high-level designです。 Forteは最初からSystemC、でも、現状C…

SystemC Japan 2010は、7月2日

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった。 SystemC Japan 2010は、7月2日(金)で、いつもの新横浜国際ホテル。 今年からは、OSCIがスポンサーになった模様。 SystemC Community UpdateのPage.…