Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2014-01-01から1年間の記事一覧

SPI : AMDのプレゼンテーション資料

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMDのSPIRの資料、PL-4501, An Introduction to SPIR for OpenCL application and Compiler Developer 7頁に、HOW TO LOAD SPIR, MULTIPLE SPIR BINARIE…

AndroidでOpenCL

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OpenCL for Android Massesによると、 Android(ARMv7)のCPUでOpenCLが動くようになったようです。 PoclをAndroidに移植したようです。Google Playからダ…

FirePro APP SDK Alpha

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMDがFirePro APP SDK Alphaをリリース。 どうやら、FirePro限定では、パスワードが必要。まー、Alphaなのでね。 普通に使えるのは、APP SDK v2.9.1です…

「アプレンティスシップ・パターン」を読み返して、その(2)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日の続き、 引用 4章 正確な自己評価 床を拭く (Sweep the Floor) P.94 「新参者の作業は、連れなった仕事の節目の真ん中あたりであるよりも、仕事の…

「アプレンティスシップ・パターン」を読み返して、その(1)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 自分が勤務先の図書スペースに寄贈した 「アプレンティスシップ・パターン」借りて読んでいます。 (寄贈してしまったので、書き込み等が出来ないのは、…

SystemVerilogハッカソンの成果物公開

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Design Solution Forum 2014でのSystemVerilogハッカソンの成果物を公開しました。 SystemVerilogハッカソンの成果物公開 ・SystemVerilogのクラスでC++…

APM X-Gene2の性能

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった このツイートによると、Web Server Perfromanceは、 X-Gene 809 8コア? X-Gene2 1034 8コア ? E5-2630 432 (SandyBridge) 6コア/12HT E5-2630v2 500 (…

Cray Chapel オープンソースで公開

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった CrayのChapelのオープンソース版が公開されました。 Caryのマシンだけでなく、UNIX, Mac OS X, Windows with Cygwin でも動くようです。 概要は、こちら…

CodeplayがSYCLを実装&デモ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Codeplay demo first prototype SYCL Implementationによると、CodeplayはSYCL 1.2を実装し、デモを行ったようです。 CodeplayのSYCLのサイトでは、まだ…

IBM POWERでNVIDIA GPU搭載マシンをアナウンス

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった これによると、IBMがPOWERでNVIDIAのGPUをサポートした製品を出します。 また、 引用 Future versions of IBM Power Systems will feature NVIDIA NVLin…

SystemVerilogハッカソンを開催しました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日のDesign Solution Forum 2014は、天気にも恵まれ、多くのエンジニアの方に来ていただき、ありがとうございました。 多少ドタバタはありましたが、…

今日は、Design Solution Forum 2014 です

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、Design Solution Forum 2014です。 実行委員、講演、モデレータと3役やるので、ドタバタするかも? 影武者、雇ったので、大丈夫かも! 初めてな…

ARM OpenCL

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ARMがCortex-A用にOpenCLをサポートしたようです。 Media Alert: ARM Extends OpenCL to the ARM Cortex-A Processor Family NEONを使うようです。 Open…

「ソフトウェア開発で伸びる人、伸びない人」再び

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日から10月、多くの会社では下期というものになりますね。 今日は書籍を読み返してみました。 ソフトウェア開発で伸びる人、伸びない人を読んだのは、…

Portable Stimulus

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Rousing start to DVCon Indiaに、 MentorのPortable Stimulusというプレゼンテーション資料が載っています。 ESL、Simulation、Emulation、Silicon、FP…

SynopsysのVerification Continuumの詳細

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SemiWikiのSynopsys Verification Continuumに結構詳しく書いてありました。 Synopsysが過去に買収したツール毎Front-EndとBack-EndがあったのをFront-E…

今月(9月)の映画鑑賞

映画好きの戯言 今月は、The Walking Dead Season 4を見たので、1週間は映画無し。 後半は、通勤時間は本を読むことにしたので、お昼のみ映画になったので、かなり少なめ。 9本ですべてUULA。 マーシャル博士の恐竜ランド/LAND OF THE LOST(2009)(UULA) ダ…

Synopsys Verification Continuum

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Design & Reuseの記事、Synopsys Unveils Verification Continuum to Enable Next Wave of Industry Innovation in Software Bring-Up for Complex SoCs…

PEZY

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 1チップで3TFlopsの演算性能を実現 - PEZY Computingの1024コアプロセサの記事。ベンチャー企業で28nm。 どこからお金が出ているのでしょうか? PEZY-SC…

Open Source Verilog

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SemiWikiにOpen Source Verilogがアップされています。 引用 Tachyon Design Automation has been in existence for years and sells a Verilog simulat…

DVCon India

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 9月25日、26日は、DVCon Indiaです。 Circuitsutraのブログによると、ISCUG(The India SystemC User Group)からDVConになったようです。 OSCIがAcceller…

今日は、Synopsys Users Meetingですね

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は恒例のSYNOPSYS USERS meeting 2014ですね。 ユーザー事例は、10セッションぐらいですね。 Galaxyトラック以外は、14:05からがユーザー事例の時間…

OpenCL 2.0 SVMの使い方

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった IntelのOpenCLは、2.0をサポートしています。2.0では、Shared Virtual Memory(SVM)というメモリモデルが導入されました。 そのSVMの使い方の例がUsing S…

cuDNN

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった NVIDIAがcuDNNなるライブラリをリリース。 このcuDNNを組み込んだCaffeは、組み込んで無いものより20%以上高速に。 NVIDIAもアプリケーション用のライブ…

Professional CUDA C Programming

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Professional CUDA C Programmingなる書籍が出版されました。 CUDAの全てって感じですかね? 528頁。 Google Booksでは、目次が見られます。 紙書籍は59…

Intel SDK for OpenCL Application

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Intel SDL for OpenCL Applicationの2014 Release 2でOpenCL 2.0をサポートしたようです。 また、SPIR 1.2もサポートしているようです。 GPUサポートは…

Cocotb

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった テストベンチとテストケースのお話。 Aldecのツイートから知ったThen, Python walked in for verificationでは、テストベンチとテストケースをPythonで…

AMD APP v.2.9.1 リリース

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMD APP SDK v2.9.1がリリースされました。 OpenCL、BOLT、Aparapi、OpenCVをサポート。 C++AMP v1.2はWindowsのみでサポート。 Build環境は、CMake。 …

GO 2 UVM

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今まで知らなかったのですが、CVC(@CVCBLR)のツイートで GO 2 UVMなるサイトがあるのを知りました。 9月7日に、 SystemVerilog interfaces, step-by-ste…

LLVM 3.5リリース

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった LLVM 3.5がリリースされました。 AArch64では、Appleからのコードをマージ。 Build SystemがCMakeに。 これに伴い、OpenCL環境のPOCLもv0.10でLLVM 3.5…