Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2008-01-01から1年間の記事一覧

vmm_vxc_managerクラスの実装(その10)

Verification Engineerの戯言 vmm_vxc_managerクラスのINTERRUPTコマンドを解釈するtry_interrupt関数を見てみましょう! 基本的には、try_action関数と同じです。 function bit vmm_xvc_manager::try_interrupt(); // 途中、略 //parse cmd and extract inf…

Can VCS use the OVM-2.0 library?

Verification Engineerの戯言 Verification GuildにCan VCS use the OVM-2.0 library?という質問が投げられました。 MentorのDaveさんの回答は、OVMで使っている記述をVCSがサポートしていないということでした。 その根拠は、SCDSourceの記事Mentor, Cadenc…

DEATH RACE(2008)

映画好きの戯言 デス・レース/DEATH RACE(2008)は、デス・レース2000年/DEATH RACE 2000(1975)のリメイク。 オリジナルの製作であるロジャー・コーマンは、B級映画の製作では有名人。 今回リメイクされたこの「DEATH RACE」はB級映画を遙かに超えていま…

A VMM to OVM migration library

Verification Engineerの戯言 CadenceがA VMM to OVM migration libraryをOVM Worldにて公開しました。 ドキュメントとしては、次の2つが含まれています。 ・Migrating Legacy VMM Testbenches to the OVM ・OVM-VMM Encapsulation Library Reference Guide …

今週の映画鑑賞、11/30-12/06

映画好きの戯言 ニュースの天才/SHATTERED GLASS(2003)(GyaO) 主役のヘイデン・クリステンセンは、ジャンパーの主役も演じている。 記者ケイトリン・アヴィー役のクロエ・セヴィニーは、シスターズでグレース・コリエを演じていました。 Stephen Glass (repo…

vmm_xvc_managerの使用方法

Verification Engineerの戯言 大人の事情でVMMをもう一度で、vmm_xvc_managerの使い方を説明しています。 次は、test_senario_fileファイルに記述するわけですが、 各コマンドを理解するxvc_actorを開発することになります。 検証、Verification、SystemVeri…

Public Review for SystemC AMS Draft 1 Standard

Verification Engineerの戯言 SystemC AMS extensionsのPublic Review for AMS Draft 1 Standardが開始されました。 EDAOnlineの【DAC 2008】SystemCのOSCI,アナログ/アナ-デジ混在拡張仕様の概要を公表では、概要を公開していましたが、今回はLRMとサンプ…

vmm_vxc_managerクラスの実装(その9)

Verification Engineerの戯言 vmm_vxc_managerクラスのACTIONコマンドを解釈するtry_action関数を見てみましょう! function bit vmm_xvc_manager::try_action(); //途中、略 //parse cmd and extract information. //syntax is of the form: // A[CTION] <instance> <action> </action></instance>…

Synopsysが独ProDesign社プロトタイプボード「CHIPit」事業部を買収

Verification Engineerの戯言 Synopsysが独ProDesign社プロトタイプボード「CHIPit」事業部を買収しました。 Synplicity(HARP)とこのCHIPitが統合されると、どのようなサービスが提供されるのだろうか? そして、VMM-HALでこれらを利用することできるのは、…

newについて

Verification Engineerの戯言 SystemVerilogのnewについて、 module test; class C_A; endclass : C_A initial begin C_A a; a = new; $display("finish"); end endmodule : test をModelSim XE 6.3cで確認したら、newが無くても動く! 検証、Verification、…

Parameterized classes

Verification Engineerの戯言 SystemVerilogのparameterized classには、2種類、あります。 1つ目は、サイズ等をパラメータ化するときです(これをvalue parameterと呼びます)。 下記の例のvectorクラスでは、クラスメンバーのaのサイズを指定するために パラ…

OVM : 2.0.1 は、Questa用!

Verification Engineerの戯言 OVM : 2.0.1 ?でも書きましたが、どうやらOVM 2.0.1は、Questa用のようです。 OVM Forumのスレッド:Where is ovm v2.0.1 package?に、Mentor社のDaveさんが、コメントしています。 Apache Licenseなのでカスタマイズしたもの…

今週の映画鑑賞、11/23-11/29

映画好きの戯言 つぐない/ATONEMENT(2007)(DVD) 全体的な流れだけであれば、アカデミー作品賞ノミネートまではいかないがラスト5分の告白のシーンがこの作品の決め手であろう。 これがなければ、その前の約2時間の話は、そうだったのね!で終わってしまう。 …

vmm_vxc_managerクラスの実装(その8)

Verification Engineerの戯言 EXECUTEコマンドで実行するシナリオIDは、SCENARIOコマンドで登録します。 SCENARIOコマンドを解釈するtry_scenario関数を見てみましょう! function bit vmm_xvc_manager::try_scenario(); if (this.argv[0].tolower() != "sce…

OVM : 2.0.1 ?

Verification Engineerの戯言 OVM Forumのスレッド:Where is ovm v2.0.1 package?によると、 Questa 6.4bにOVM 2.0.1がプリコンパイルされている模様です。 他のスレッド、OVM examples error in GUIでも、Questa 6.4bではovm-2.0.1になっています? 検証、…

vmm_vxc_managerクラスの実装(その7)

Verification Engineerの戯言 vmm_vxc_managerクラスのEXECUTEコマンドのシンタックスは、 [E]X[ECUTE] <sid> {<sid>} です。<sid>は、シナリオIDです。1つのEXECUTEコマンドで複数のシナリオIDを指定できます。 また、EXECUTEコマンドを複数記述できます。 ただし、EXECUTE</sid></sid></sid>…

vmm_vxc_managerクラスの実装(その6)

Verification Engineerの戯言 最後は、エラー無しのときはexecute関数を実行します。 // Execute only if there are no errors in the testfile if (this.log.get_message_count(vmm_log::FATAL_SEV + vmm_log::ERROR_SEV, "/./", "/./") > 0) begin `vmm_fa…

VCS-e、再び

Verification Engineerの戯言 2007年11月8日のブログe-Support in Synopsys' VCS ?でも書いたが、VCSでeがNativeに動く、がでてきた。 今回は、Think VerificationのHey Mom, it feels like Specman !. 元ネタは、ケイデンスのブログe Running Inside VCS An…

1408(2007)

映画好きの戯言 1408号/1408(2007)を初日の初回にて鑑賞。 原作はスティーヴン・キングの1408(日本語訳「一四〇八号室」)で新潮社刊「幸運の25セント硬貨」所収。 昔読んだが、本作が日本で公開されるのを知ってから読み直した。 映画と原作は、ストーリー展…

Janickさんのブログから:How to use VMM callbacks

Verification Engineerの戯言 Janickさんのブログが久しぶりにアップされました(How to use VMM callbacks) タイトルどおり、VMMのコールバックの使い方です。 ・どんなときにこのコールバックを使うのか? ・いろいろな方法があるが、どうしてコールバック…

THE MIST(2007)

映画好きの戯言 United Cinemaでは上映されなかったので、劇場で見ることができなかったTHE MISTをDVDにて鑑賞。 THE MISTの原作は、かなり前に読んだ。この映画はその記憶を思い出しながらの鑑賞となった。 まず、感じたのは、現在の特撮技術があったからこ…

今週の映画鑑賞、11/16-11/22

映画好きの戯言 ミスティック・ピザ/MYSTIC PIZZA(1988)(GyaO) ジュリア・ロバーツがプリティ・ウーマンに出演する前の作品。 このMYSTIC PIZZAは実在するようです。コネチカット州Mystic ~8月20日(月)~より。。。 そして、ちゃんとホームページ(Mystic …

vmm_vxc_managerクラスの実装(その5)

Verification Engineerの戯言 // Iterate over every command in the file and process it begin while (this.get_next_cmd()) begin this.process_cmd(); end end のprocess_cmd関数は、次のように定義されています。 function bit vmm_xvc_manager::proces…

Design Wave Magazineが休刊に!

Verification Engineerの戯言 DesignWaveMagazineが2009年3月号(2月発売)で休刊になるようです。 私は、doggie's blog:DesignWave休刊で知りました。 私にとっては、かなりショックです。 (企画から始めた)最初の編集長からのつきあいでした。 また、何度も…

vmm_vxc_managerクラスの実装(その4)

Verification Engineerの戯言 vmm_xvc_tcl_execute_file関数を実行した後は、ファイル内のすべてのコマンドを実行します // Iterate over every command in the file and process it begin while (this.get_next_cmd()) begin this.process_cmd(); end end t…

vmm_vxc_managerクラスの実装(その3)

Verification Engineerの戯言 vmm_xvc_tcl_execute_file関数は、vmm_xvc_managerクラスからどのように呼ばれるのでしょうか? README.txtに次のように書いてありました。 When used on a non-VCS simulator, it will be necessary to include the file $VMM_…

vmm_vxc_managerクラスの実装(その2)

Verification Engineerの戯言 前回、vmm_vxc_managerクラスのrunタスクの内容をみました。 今回は、runタスクのvmm_xvc_tcl_execute_file関数をみていきます。 vmm_xvc_tcl_execute_file関数は、vmm_xvc_manager.svファイルにはありません。 vmm_xvc_tcl_exe…

SCDSourceの記事:TLM 2.0 promising, but interoperability isn’t proven

Verification Engineerの戯言 TLM 2.0 promising, but interoperability isn’t provenでは、 JedaのCEOであるEugene ZhangさんがSystemCのTLM 2.0とInteroperabilityについて語ってくれています。 TLM 2.0という最低限のルールは決まりましたが、その上で動…

今週の映画鑑賞、11/09-11/15

映画好きの戯言 ザ・スタンド/THE STAND(1994)(DVD) ゲイリー・シニーズは、アポロ13やスネーク・アイズでメジャーに! ロブ・ロウは、1980年代ちょー人気者! キャシー・ベイツは、ミザリー、この後に黙秘の主役。 Disc 1(PART1 疫病 , PART2 夢 ) Disc.2…

mm_vxc_managerクラスの実装(その1)

Verification Engineerの戯言 オープンソースVMMでは、vmm_xvc_managerクラスも実装されています。 vmm_xvc_managerクラスは、VMM本のAppendix C XVC STANDARD LIBRARY SPECIFICATIONで定義されています。 公開関数およびタスクは、new関数とrunタスクのみで…