Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2009-07-01から1ヶ月間の記事一覧

AccelleraのVerification IP Group

Verification Engineerの戯言 Next-generation semiconductor functional verification challenges by Dennis Brophyさん。 この記事の中にある2つのグループ Unified Coverage Interoperability Standard (UCIS) Verification IP (VIP) このうち、Verificat…

DWM 最終号のDPI-Cの記事

Verification Engineerの戯言 2009年7月10日(金)に行われたSystemC Japan 2009で配布された Design Wave Magazin 最終号(2009年3・4月号)の記事 「動作合成とC/C++/SystemC/SystemVerilogの協調検証:Cynthesizerの活用事例」には、 SystemVerilogのDPI-Cに…

日経マイクロデバイス雑誌ブログ : LSIエンジニアは今が買い時?

Verification Engineerの戯言 日経マイクロデバイス雑誌ブログ : LSIエンジニアは今が買い時?によると、 LSIメーカーで,ソフトウエア関連に従事していた人も,つぶしが利くため求人が豊富だ。 ということです。 検証もソフトウェアに関連しているので、求…

SystemC Japan 2009 : EDAOnlineの記事

Verification Engineerの戯言 SystemC Japan 2009の「富士通マイクロエレクトロニクスにおける上流設計手法の適用事例」by 中村和正さんの記事がEDA Onlineにアップされました(中村さんの写真入り)。 約2億円のコストを削減,富士通マイクロがSystemC TLM 2.…

TLM-2.0 in Action

Verification Engineerの戯言 2009年7月10日(金)に行われたSystemC Japan 2009での"SystemC Community Update"によると、 OSCIにて、TLM-2.0 in Actionというビデオが公開されています。 DVCon2009のときの模様にようです。 ユーザー登録は必要ですが、イン…

SystemC Japan 2009:レポートその4

Verification Engineerの戯言 SystemC Japan 2009のレポート第4弾。 なお、このブログにセミナーの内容を書くことについては、事務局(EDA Express)から許可を得ています。 今回の3事例のうち、2つは仮想プラットフォーム上だけでなく、 実機(FPGAなどを含む)…

SystemC Japan 2009:レポートその3

Verification Engineerの戯言 SystemC Japan 2009のレポート第3弾。 なお、このブログにセミナーの内容を書くことについては、事務局(EDA Express)から許可を得ています。 仮想プラットフォームを用いた通信ようSoC開発事例 by 木村貞弘さん 最後のユーザー…

SystemC Japan 2009:レポートその2

Verification Engineerの戯言 SystemC Japan 2009のレポート第2弾。 なお、このブログにセミナーの内容を書くことについては、事務局(EDA Express)から許可を得ています。 画像処理システムのPlatform Architectへの展開 by 小片さん 検証プラットフォームを…

SystemC Japan 2009:レポートその1

Verification Engineerの戯言 SystemC Japan 2009のレポート第1弾。 なお、このブログにセミナーの内容を書くことについては、事務局(EDA Express)から許可を得ています。 「富士通マイクロエレクトロニクスにおける上流設計手法の適用事例」by 中村和正さん…

一番早い、SystemC Japan 2009のレポート

Verification Engineerの戯言 一番早い、SystemC Japan 2009のレポートは、NobuさんのSystemC Japan 2009 に参加です。 私もNobuさんと同じで木村さんのお話は勉強になりました。 無線をバスとして扱うというアイデア。おいしく戴きました(質問したかったの…

OVM 2.0の日本語ユーザーガイド

Verification Engineerの戯言 OVM 2.0の日本語ユーザーガイドが公開されていたのですね! 気がつかなかった。 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

Bluespecの技術職の求人

Verification Engineerの戯言 サイバネットシステムがBluespecの技術職の求人をしているようです。採用は、10月ですが、、 お給金は、こんな感じ 検証、Verification、Bluespec

Open Verification Methodology Cookbook 出版される!

Verification Engineerの戯言 Open Verification Methodology Cookbookが米アマゾン7月15日に出版されると出ています。 今なら円高なので、いいかも! 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

CQ出版 : SystemVerilogによる設計・検証入門

Verification Engineerの戯言 VMMをシノプシス関係者以外で初めてパブリックにした赤星さんが CQ出版社主催のセミナーSystemVerilogによる設計・検証入門で講師します。 日時は、来週の土曜日(7/18)です。 有料セミナーですが、お安いのでおすすめします(通…

Bluespecセミナーの資料が公開されています

Verification Engineerの戯言 サイバネットシステム株式会社によるBluespecセミナーの資料がダウンロードできるようになっています。 ただし、登録は必要です。 検証、Verification、Bluspec P.S 昨日、40000訪問者を達成しました。すばらしい。 最近、すこ…

Specman/eの情報満載

Verification Engineerの戯言 Specman/eに関するブログを含む情報、Another New Blog on e/Specman YahooのSpecman Groupは、メンバ登録が必要ですが、2001年から続いています。 検証、Verification、Specman/e

Quick intro to OVM ports, exports and imps

Verification Engineerの戯言 OVMのportとexportに関するブログ:Quick intro to OVM ports, exports and imps 例題もあります。結構長いのが、 たとえば、 ovm_blocking_put_port#(tr) put_port; <=> ovm_blocking_put_imp#(tr,b) put_imp; connect部 : a_…

SystemC Japan 2009、定員オーバーで申し込み終了!

Verification Engineerの戯言 SystemC Japan 2009は、定員の300名を超える400名の申し込みがあり、申し込み終了になったようです。 SystemC Japan 2009は、7月10日 SystemC Japan 2009の内容決定!SystemC Japan 2009の内容:リコーの事例 SystemC Japan 200…

今月(6月)の映画鑑賞

映画好きの戯言 6月は、11本(DVD:9、GyaO:2)、見ることができました。 「コッポラの胡蝶の夢」がすごく良かった。「ベンジャミン・バトン 数奇な人生」と見比べると、面白いかも? Mr.ブルックス 完璧なる殺人鬼/MR. BROOKS(2007)(DVD) センター・オブ・…

EDA&#039;s Next Top Blogger

Verification Engineerの戯言 EDA's Next Top Blogger 私も入れてちょうだいな。でも、掲載できそうな写真はありません。イラストでもOKかなー。 検証、Verification

SCDSourceからのメイル

Verification Engineerの戯言 SCDSourceから最近の記事のメイルが届きました。 Verificationについての気になったものとしては、 Mixing Formal and Dynamic Verification, Part 1 Mixing Formal and Dynamic Verification, Part 2 ちょっと、古いですが、 …

Bluespecの例題をチェックしてみた

Verification Engineerの戯言 勢いで、Bluespec Wikiの例題をチェックしてみた。 なかなか面白そう。 interfaceは、HDLユーザにはSystemC(C++)よりもわかりやすいと思う。 (SystemVerilogのinterfaceではなく、SystemCのinterfaceと同じようなものです)。 そ…

PW OVM Scoreboard Release 1_1

Verification Engineerの戯言 Paradigm WorsksからPW OVM Scoreboard Release 1_1がリリースされました。 SourceForge:SystemVerilog FrameWorksで管理されています。 ライセンスは、Apache 2.0です。 前回は、Paradigm Works : OVM Scoreboardでした。 検…

Bluespec User Group Meeting 2009のEDA Online レポート

Verification Engineerの戯言 先週末(6/26)にBluespec User Group Meeting 2009が行われるのは、 6/2のBluespec User Group Meeting 2009に書きました。 その模様がEDAOnlineにアップされました。 「記述量が少ないだけではない」,話題のBluespec SystemVer…