Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

SystemVerilog

MacOSで動く商用Verilog HDL Simulator

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった TwitterのTLで知ったので、でも、昔から知っていたVerilog HDL Simulator でした。 日経EDAOnlineの記事:80万円のVerilog-HDLシミュレー…

hdlsnippets

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ちょっと前に、semaphoreとmailboxについて書きましたが、 System Verilog Mailbox with try_getのがありました。 mailbox #(byte) mb; の…

semaphoreとmailbox

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogでは、何故か?、semaphore と mailbox がクラスとして実装されています。 この2つのクラスは、stdパッケージに含まれていま…

string

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogでは、文字列を扱うstringというタイプも導入されました。 string name = "Verification Evangelist"; のように文字列の変数…

2値と4値

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verilog HDLでは、以下のタイプはすべて4値( 1, 0, H, Z )です。 reg : ユーザ定義のベクタサイズ integer : 32bit signed integer time …

signed/unsigned と shortreal

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogでは、32bitのsigned integerのintegerの他に、次の整数が導入されました。 byte : 8bit signed integer shortint : 16bit s…

processクラス

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogには、processクラスというものがあります。 AldecのSystemVerilogリファレンスのClass processからの引用 class process; t…

fork/join

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verilog HDLでは、fork/joinという並列プロセスを起動するための機能がサポートされています。 SystemVerilogでは加えて、fork/join_anyと…

Overridden with Overrides

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった メンターのDaveさんのブログ:Overridden with Overridesでは、 SystemVerilogに関して、ちょこっと書いてあります。 parameter, localpar…

SystemVerilogの機能紹介

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2011年12月3日(土曜日)のTwitterによる「SystemVerilogの機能紹介」をtogetterしました。 ご利用ください。 検証、Verification、SystemVe…

IEEE 1800-2012?

Verification Evangelistの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogが標準化されたのが2005年の秋。 確か、CQ出版社主催のセミナーの後、標準化のお祝いパーティがあり、 Dennisさんが大きなシ…

MPSim

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MPSim to be Used on All Design and Verification Projects for Increased Productivityということで、 Axiom Design AutomationはTriple R…

HDLForgeなるエディタ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった HDLForgeなるオープンソースのVerilog HDL/SystemVerilogのエディタ。 Eclipseベースのエディタです。 まだ簡単にはダウンロードできません…

技術メモ(SystemVerilog)

SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今週見つけたSystemVerilog関連のブログ:技術メモ(SystemVerilog) 下記のようなエントリがあります(引用します) ・ランダマイズ 重み付け ・uvmのDoxyGen ・uvm example hello worl…

SystemVerilogがXXだって?

SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった JLGrayさんのブログ:UVM and the Death of SystemVerilogに対して、 ケイデンスのTomさんのブログ:Rumors of SystemVerilog’s Death Have Been Greatly Exaggeratedと。 EDAツール…

DVCon2011:Transaction-Based Acceleration―Strong Ammunition In Any Verification Arsenal

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Transaction Based Acceleration – Strong Ammunition in Any Verification Arsenalは、 VCS + Palladiumの事例。 VCS単体に対して、VCS + P…

checker/endchecker

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification gets another buzzword - “ADS” thanks to Cadenceでは、 ADS:Assertion-Driven Simulationについて書いています。 その中で、…

SystemVerilog Wikiというサイト

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilog Wikiなるサイトが立ち上がりました。 まだすべてはアップされていないようですが、ある程度の項目をカバーされそうです。 こ…

RocketSim

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった @EDAExpressさんのツイートで知ったRocketickのRocketSim。 ホームページ内の技術解説とDemoによると、どうやら、GPUを使ったアクセラレータ…

verilatorでLint

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日、Twitterで @marsee101 さんがフリーなLintツール(Verilog HDL)ってありませんというツイートが ありましたので、ちょっと調べてみまし…

SCE-MI 2,1

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Accelleraは、SCE-MIの新しいバーション:SCE-ME 2.1をアナウンスしました。 引用 Version 2.1 has added support for a subset of the Syst…

SVeditor 0.3.6

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週の土曜日(2011.01.08(土))に、SVEditor 0.3.5をお届けしたばかりですが、 SVEditor 0.3.6が2011.01.09にリリースされました。 検証、Ver…

SVEditor 0.3.5

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2010.8.28(土)のSVEditor 0.2.5から約4ヶ月、 フリーなSystemVerilogのエディタ:SVEditor 0.3.5がリリースされています。 EclipseのPlug-In…

SystemVerilog-2009での強化ポイントリスト

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Whats new in Systemverilog 2009 ?では、SystemVerilog-2009の新しいところをリストアップしています。 その中で何点か、 一部引用です che…

メッセージに色付けられます

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった バッチでシミュレーションを行うときにエラーメッセージ等を分かり易くするためにラベル名を変えますが、 PASS and FAIL Messages with Colo…

High Performace SoC Modeling with verilator

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった mersee101さんのツイートからたどって見つけたこのPerformance SoC Modeling with verilator、 60頁の読みごたえたっぷり。 論理合成可能なC…

SVEditor 0.2.5

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった フリーなSystemVerilogのエディタ:SVEditor 0.2.5がリリースされています。 EclipseのPlug-Inとして動作します。 検証、Verification、Syst…

SystemVerilog Coding Guidelines: Package import versus `include

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilogで導入されたpackage、あまり使わないと思っているあなた 結構使われています。 OVM/UVM、OVM/UVM関連ライブラリ、そして、AlteraのAva…

Advanced SystemVerilog Process Control – Beyond fork-join_X

Verification Engineerの戯言 : SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Advanced SystemVerilog Process Control – Beyond fork-join_Xでは、 SystemVerilogで導入されたfork/join_xについて、例題を用いながら説明してい…

lifetime (static と automatic)

Verification Engineerの戯言 : SystemVerilogの世界へようこそ Verification GuildのDPI-Cを見ていて気がつきました。 Verilog HDLでは、functionやtaskでは、automaticを付けることができますが、 SystemVerilogでは、module、program、そして、interface…