Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2011-06-01から1ヶ月間の記事一覧

DVCon2011:Transaction-Based Acceleration―Strong Ammunition In Any Verification Arsenal

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Transaction Based Acceleration – Strong Ammunition in Any Verification Arsenalは、 VCS + Palladiumの事例。 VCS単体に対して、VCS + P…

DVCon 2001のArchive

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DVCon 2001のArchiveがアップされました。全部で12のセッションです。 iPadでアクセスしたところ、正しく表示されません。どうやら、PopUpに…

ZEMI-3は、DPI-C

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週の金曜日(6/24)に行われたEVE 0-Bug Conference 2011 Summerでの事例発表がEDAOnlineにアップされました。 「今がチャンス」,コニカミ…

UVM 1.0 : examples/integrated/codec (その2)

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった UVM 1.0 : examples/integrated/codec (その1)の続きで、ほぼ1ヶ月ぶり。 run_test()が実行されているのは、test.svファイルです。 このフ…

ZeBu-Server + ZEMI-3 のユーザ事例

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EVE 0-Bug Conference 2011 Summerに行ってきました。 EVEの講演が5つ(40分+30分+15分+15分+15分)、ARM(45分)、そしてユーザ事例が45分。 ユ…

Synopsys : DVEのビデオ?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Cool Things You Can Do With DVE – The Videosでは、VCSのDVEの関するビデオをアップしています。 ・Interactive Rewind ・Debugging Syste…

2005年6月24日の発表

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 2005年6月24日、福岡のとある場所で、 「検証とは? Hardware or/and Software」 という内容でお話しました。 その時の資料のPage.24に 2005…

Verification Academy : iTBA

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった The Verification AcademyのIntelligent Testbench Automationを見ました。 ・iTBA Primer (24:37) ・Introduction to iTBA (22:24) ・UVM/O…

AccelleraとOSCIが統合?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった EE Daily Newsによると、 EDA Standards Groups Accellera and Open SystemC Initiative will mergeのようです。 デニスさんがRTしていまし…

checker/endchecker

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification gets another buzzword - “ADS” thanks to Cadenceでは、 ADS:Assertion-Driven Simulationについて書いています。 その中で、…

Verification Horizons 2011, June

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification Horizons 2011, Juneがアップされています。 DAC前の発行のようです。とりあえず、目次は 引用 ・How Do You Know You Have th…

SystemC KernelがOverflowing the Stackを吐き出す場合

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった A SystemC Virtual Platform Overflowing the Stack -- Just Before DACでは、 SystemC KernelがOverflowing the Stackを吐き出すケースにつ…

SNUGもSan JoseからIndiaへ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SNUG(Synopsys Users Meeting)も今年あたりからSan JoseからIndiaに動くかもしれません。 特に、検証関係は! ということで、来週の木曜日(6…

e は、死なず

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今から e 使いになりたいですか?では、これから使うなら絶対にSystemVerilogを紹介しました。 だが、昨日、@marsee さんがOVM-e による検証…

SystemVerilog Wikiというサイト

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemVerilog Wikiなるサイトが立ち上がりました。 まだすべてはアップされていないようですが、ある程度の項目をカバーされそうです。 こ…

Register Package って?どう?

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Register verification in ASICというエントリでレジスタ検証のことを書いています。 UVMでもRegister Packageが入りました。 また、UVM Coo…

SystemC Japan 2011の申し込みが開始されました

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった SystemC Japan 2011から申し込みができます。 私が気がついたときは既にエントリが始まってしまったので、本日(6/16)の朝に申し込みをしまし…

ARMのFastModelとCadenceのVSP

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Using the ARM Profiler with the Cadence Virtual System Platformでは、 CadenceのVirtual System Platform上でARMのFastModel + RVDS Pro…

Denali Partyの写真

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった FrickrにDenali Partyの写真がアップされました。 日本でやってもスーツ姿になってこんなに盛り上がらないと思いますが。 引用 @EDAExpress …

UVM CookBookを読もう!

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AVM CookBookとOVM CookBookはペーパーバック版を入手しましたが、 UVM CookBookはPDF版を入手しました。 AVM CookBookとOVM CookBookは内容…

Synopsys と Cloud

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった JL Grayさんのブログ:Cool Verificationに、48th DAC Day 1: Cloud Computing, Registersがアップされました。 この記事の内容に、Synopsys…

今月(5月)の映画鑑賞

映画好きの戯言 今、気がつきました。5月の映画鑑賞、アップするの忘れていました。 DVD:5本、GyaO:6本。今月は、結構観ましたよ。 ブラッド・ワーク/BLOOD WORK(2002)(GyaO) リアル鬼ごっこ2(2010)(DVD) 人のセックスを笑うな(2007)(GyaO) ラブ・アクチュ…

SyatemC Japan 2011の申し込みは、来週半ば

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 7月15日(金)にSystemC Japan 2011が新横浜で開催されることは、すでにお伝えしましたが、 @EDAExpress そうそう、来週半ばからSystemC Japan…

UVM 1.1 リリース

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AccelleraにUVM 1.1がアップされました。 Download the UVM 1.1 class library code and user guide UVM 1.1 Class Reference クラスライブ…

Mentor : ESL to RTL verification flow with UVM

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Mentor Graphics Addresses 28nm and 3D-IC Requirements in TSMC Reference Flow 12によると、Mentorは 引用 The Vista?? platform support…

Verification Academy : UVM / OVM Online Methodology Cookbook

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification AcademyにUVM / OVM Online Methodology Cookbookがアップされました。 UVM / OVM Online Methodology Cookbookは別サイトで公…

Software Driven Verification : Synopsys編

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Software Driven Verificationでは、 Synopsysが考えるSoftware Driven Verificationの事例を説明しています。 事例の内容は、Synopsysの定…

Catapult CがTLM合成をサポート

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MentorがMentor Graphics Forges TLM Synthesis Link Between Hardware Implementation and Virtual Prototypingということで、Catapult Cに…

Verification Academy : Update

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Verification Academyに ・Basic UVM ・Advanced OVM (&UVM) ・Intelligent TB Automation がアップされました。 iPadのブラウザ経由でも各…

@HarryAtMentor さんの講演とプラスアルファ

Verification Engineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日、あー、日付が変わったので昨日、 メンター本社で行われた「Questaが可能にする機能検証改革」セミナーに行ってきました。 最初のセッ…