Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2008-02-01から1ヶ月間の記事一覧

Verification Methodolog Wars?

Verification Engineerの戯言 Cool Verificationによると、The Brewing Standards War - Verification Methodology2008/02/the-brewing-sta.html Verification Methodology Warが勃発するのか? SynopsysのKarenさんのブログ(On the road to a single standar…

OVM 1.0 : Virtual Sequencerの例(OVM Forumから)

Verification Engineerの戯言 OVM Forumに Virtual Sequenceの例がCadenceのStuartさんからアップされました。 ovm_XXXマクロの使い方についての例と、 // This test shows the use of actions for virtual sequences. These include: // 1. ovm_do_seq // 2…

Specman VerificationのBloggerがVerilabからMentorへ

Verification Engineerの戯言 Specman Verificationによると、SV tutorial and personal newsということで、SystemVerilog Turtorialを公開しました。 また、このBloggerはVerilab(Munich)からMentorに移ったようですが、当面はこのBlogは継続するようです。

OVM : Open Verification Methodology Handbook

Verification Engineerの戯言 OVM Forumによると、Open Verification Methodology Handbook: Creating Testbenches in SystemVerilog and SystemC (Systems on Silicon)という本があるようです。 Amazon Japan、紀伊国屋などでも予約を受け付けています。 た…

NASCUG Regional Meetingのプレゼンテーション資料(その4)

Verification Engineerの戯言 今回、NASCUG Regional Meetingのプレゼンテーション資料の中に一番のよかったのは、 Practical Techniques for Improving SystemC Simulation Performanceです。 それは、Profiling解析ツールであるkcachegrindを知ることがで…

VHDL 4.0 & IEEE 1076-2008

Verification Engineerの戯言 Accelleraは、VHDL 4.0を承認したようです。 http://blogs.yahoo.co.jp/verification_engineer/folder/929937.htmlでも書きましたVHDL-2006 D3からどの程度、変わったのか? ・PSLをVHDLに直接記述できる(コメントへの記述ではな…

ライラの冒険 黄金の羅針盤/The Golden Compass

映画好きの戯言 全国ロードショウより一足お先に、先行上映の23(土)、それも第一回目にて鑑賞。 ただし、お子ちゃまといっしょなので、日本語吹き替え版。 ニコールキッドマンの生声が聞けなかったのは残念だったが、字幕を追わない分、映像に専念できたのは…

今週の映画鑑賞、2/17-2/23

映画好きの戯言 妄想少女2(2005)(GyaO) おじさん。台詞下手。。。 Mr.&Mrs. スミス/MR. AND MRS. SMITH(2005)(DVD) あれだけ、バンチクしてもスミス夫人は死なない。恐るべし。 エリザベス:ゴールデン・エイジ/ELIZABETH: THE GOLDEN AGE(2007)(劇場) スペ…

DVCon 2008 Best Paper Award

Verificaion Engineerの戯言 Cool Verificationによると、 Bridging the Application and Design Gap: Utilization of the GDB Proxy Protocol for Remote Control of an RTL Simulation." がDVCon 2008 Best Paper Awardに選ばれたようです。。 この論文、…

エリザベス:ゴールデン・エイジ/ELIZABETH: THE GOLDEN AGE

映画好きの戯言 バベルでは、ブラッド・ピットの妻役を演じたケイト・ブランシェット。 25歳のエリザベス女王を演じるには、ちょっと老けている感じ。実際には、公開ときで38歳なので無理もないか。 スペイン王が話していたのはたぶんスペイン語(英語ではな…

OVM : 1.0.1リリース

Verification Engineerの戯言 OVM Worldは、OVM 1.0.1をリリースしました。 基本的には、OVM 1.0とは変わりませんが、今までのフィードバックがかかっているようです。 OVM Class Referenceもフィードバックがかかった点については、修正されています。 ovm_…

SystemC TLM2.0 Draft2の公開レビューを終了

Verification Engineerの戯言 EDA EXPRESSによると、OSCI、SystemC TLM2.0 Draft2の公開レビューを終了のようです。 昨年(2007年)11月末から今年(2008年)1月末までのレビューでフィードバックがかかって、DAC(6月)に正式発表の予定。 これでTLMに関しては、…

NASCUG Regional Meetingのプレゼンテーション資料(その3)

Verification Engineerの戯言 Evolution of Design From Transistor Level to TLMでは、TLMの利点について4つ挙げています。 ? SW development delay ? SW team can begin SW developing or testing stage much sooner in ESL design methodology. ? HW/SW c…

NASCUG Regional Meetingのプレゼンテーション資料(その2)

Verification Engineerの戯言 SystemC Modeling and Legacy IPでは、SystemCとRTLとのCo-SImulationに対する問題を解決するためのソリューションを提案しています。 Carbonは、RTL(VHDL/Verilog HDL)コードをSystemCの世界に取り込むことができるソリューシ…

NASCUG Regional Meetingのプレゼンテーション資料(その1)

Verification Engineerの戯言 NASCUG Regional Meetingのプレゼンテーション資料が公開されています。 通常のミーティングとの区別はなんなのでろうか? 各資料のTLMの定義は、TLM 2.0 draft #2が公開される前になの、PV(Program View)、PVT(Program View wi…

DVCon2008 : NASCUG

Verification Engineerの戯言 DVCon2008で、NASCUGが(2/19)に開催されます。今回で8回目です。 Agenda Time Event 8:30 - 9:00 am Registration/Continental Breakfast 9:00 - 9:10 am Welcome and Agenda Jack Donovan, ESLX, Inc. 9:10 - 9:25 am OSCI Upd…

今週の映画鑑賞、2/10-2/16

映画好きの戯言 化粧師 KEWAISHI(2001)(GyaO) 石ノ森章太郎原作。確か、ビックコミックで見たときがある。 真・雀鬼4/歌舞伎町・博徒通り(1999)(GyaO) だんだん人間ドラマになってきた。 NOEL ノエル/NOEL(2004)(GyaO) 警官(マイク)の恋人ニーナ役のペネ…

Cool Verification : DVCon2008のセッション2

Verification Engineerの戯言 Cool Verificationで、DVCon2008の気になるところを挙げています。 DVCon2008のセッション2でも書きましたが、 Wednesday Session 2 - Applications of the System Verilog Direct Programming Interface もあります。

OVM : ライセンス

Verification Engineerの戯言 OVMのライセンスは、Apache Licenseです。そのため、OVMの各ライブラリを使ったクラスライブラリはソースコードを公開する必要はありません。 これは、VIP(Verification IP)を開発/販売したい人たちにとってはうれしいです。 た…

Bringing virtual platforms into mainstream design

Verification Engineerの戯言 SCDsourceにJeda Technologies.のEugeneさんのBringing virtual platforms into mainstream designがアップされました。 Virtual Platformは、Verificationにとって結構使えるツールだと思います。

SystemVerilog Gotcha: (when copying) a struct is not a class by another name

Verification Engineerの戯言 Verilab BLOGに、SystemVerilog Gotchaとして、(when copying) a struct is not a class by another nameというのがアップされています。 SystemVerilogのstructとclassについてです。 普通、ここまで使い込むことはないですが…

OVM : Testcaseは、module それとも program に?

Verification Engineerの戯言 OVM Forumのusing ovm_report_fatal in program blockによると、OVMではテストケースをmoduleではなく、programに書いてもOKのようです。 検証、Verification、SystemVerilog、OVM、Open Verification Methodology

DVCon2008のセッション2

Verification Engineerの戯言 DVCon2008の2月20日のセッション2は、SystemVerilog DPI-C関連です。 ・Introducing DPI-C++ ・Bridging the Application and Design Gap: Utilization of the GDB Proxy Protocol for Remote Control of an RTL Simulation ・V…

DOULOS : Getting Started with TLM 2.0

Verification Engineerの戯言 DOULOSがGetting Started with TLM 2.0というチュートリアルを公開しています。 すべては見ていませんが、役に立つと思います。

OVM : set_global_stop_request関数(OVM 1.0/1.0.1版)

Verification Engineerの戯言 OVM 1.0/1.0.1版 global_stop_requestタスクは、シミュレーションを止めるためのものということですが、set_global_stop_timeout関数を使うことで、タイムアウトの時間が設定できます。 set_global_stop_timeout関数は、ovm_com…

OVM : VCon2008でのセッション

Verification Engineerの戯言 DVCon2008の2月21日のセッション6は、OVM関連です。 ・Maximizing Component Reuse in SystemVerilog Using the Open Verification Methodology ・Sequences in SystemVerilog ・Abstract BFMs Outshine Virtual Interfaces for…

OVM : SCDsourceの記事

Verification Engineerの戯言 SCDsourceに、OVMの記事Open Verification Methodology offers interoperabilityがアップされました。 著者は、CadenceのAdamさんとMentorのTomさんです。 記事では、やっぱりSequenceを説明しています。 DVCon2008(on Thursday…

OVM : 公開されて1ヶ月

Verification Engineerの戯言 OVMが公開されて今日で1ヶ月が経ちました。この1ヶ月の間にEDSFair、DesignConが開催され、OVMはそれなりに話題を呼びました。 OVM Forumの登録者数も約1,700名となり、ものすごい勢いで増えています。 スレッド数も50に近づき…

今週の映画鑑賞、2/3-2/9

映画好きの戯言 カリフォルニア/KALIFORNIA(1993)(GyaO) Xファイルのモルダー捜査官でブレイクする前のデヴィッド・ドゥカヴニーと共演するブラッド・ピット。 以前、GyaOでトゥルー・ブルースを見たが、そのときもブラッド・ピットはジュリエット・ルイスと…

OVM : global_stop_requestタスク

Verification Engineerの戯言 SystemVerilogでは、シミュレーションを終了するには、$finishシステムタスクをコールします。 OVMでは、$finishシステムタスクではなく、global_stop_requestタスクを呼びます。 global_stop_requestタスクは、ovm_componentク…