Vengineerの戯言

人生は短いけど、長いです。人生を楽しみましょう!

2013-03-01から1ヶ月間の記事一覧

「名人」から「匠」へ

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 個人的に勝手にメンターだと思っている柴田さんのブログから。 ソフトウェアエンジニアとしての評価 以下、表の部分を引用します。 初心者(1) ソフトウ…

今月(3月)の映画鑑賞

映画好きの戯言 ぷりてぃ・ウーマン(2002)(GyaO) HYSTERIC(2000)(GyaO) 昭和歌謡大全集(2002)(GyaO) イン・ハー・スキン/IN HER SKIN<未>(2009)(GyaO) ダブル・キラー/ENEMY AT THE DEAD END<未>(2010)(GyaO) 目には目、歯には歯/EYE FOR AN EYE<未>(2008)(G…

突出した人材

@Vengineerの戯言 : [http://mobile.twitter.com/vengineer Twitter] [http://sites.google.com/site/allofsystemverilog/ SystemVerilogの世界へようこそ]、[https://sites.google.com/site/allofsystemc/ すべては、SystemC v0.9公開から始まった] [http:/…

OoenMP 4.0 RC2

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OpenMP 4.0 Rc2が公開されました。 マルチコアの時代。並列処理を手っ取り早くやるのは、このOpenMPが超便利。 GCCやVisula Studioでもサポートしている…

X伝搬

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった VMM CentralにアップされたSNUG-2012 Verification Round Up: VCS Technologiesは、 昨年(2012)のSNUGで発表されたVCSに関連するものを紹介。 紹介され…

Udacity

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Mentorは、Verification Academyで検証関連の情報を公開していますが、 Cadenceは、UdacityでFunctional Hardware Verificationの講座をやっています。 …

Riciera-PROとVIVADO

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Starting Riviera-PRO as the Default Simulator in Xilinx VIVADO™は、 XilinxのVIVADOでAldecのRiviera-PROを組み込んで連携するための作業を教えてく…

第一回未踏シンポジウムに行ってきました

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 先週の金曜日(2013年3月22日)に秋葉原で行われた第一回未踏シンポジウムに行ってきました。 行くきっかけは、Twitterのフォローに未踏のスーパークリエ…

JavaScriptでSoftware Driven Verification

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 現在、第一回未踏シンポジウムに来ています。 こういうところに来ると、何故か、アイデアが出てきます。 @Vengineer: そうそう、昔、Ruby => C => PLI =…

OpenACC 2.0 draft

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OpenACC 2.0 draftがリリースされました。 NVIDIAのGPUを使うには、CudaやOpenCLを使ってプログラムを書かなければいけません。 そこがGPGPUを利用する…

Semu

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 久しぶりに、Bluespec関連です。 先週BluespecがBluespec Introduces High-Speed Verification and Hybrid Prototyping Solution for RTL IPというプレ…

賞味期限は、いつ?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 「5年後に必要とされる人材か?」 喘ぐ40代エリートの“賞味期限”を読んで、 そうなんだよな、って感じました。 私も結構悩みました。 現場を離れてマネ…

HLS versus OpenCL

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった HLS versus OpenCLというタイトルなので読んでみたのですが、 GateやRTL、SynopsysのBehavioral Compilerなどが出てきます。 後半の1/3ぐらいでやっと、…

SystemCでUCIS

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DVCon2013の2日目の火曜日の行われた REGULAR SESSION: Getting Holistic Coverage: Deployment of UCIS、 チェアはメンターのハリーさん。 Systematic …

Cray XE

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった あたしが知っているクレイは、椅子みたいなもの(現物は、触ったこともないし、見たこともない)けど、 Google君と遊んでいたら見つけたクレイは、Cray XE…

Virtual Platform と H/W-S/W Co-Simulation

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ケイデンスのブログ、Embedded World 2013: Virtual Platforms Connected to Everythingに中にある 引用 More specifically, four key connection point…

Riviera-PRO 2013.02リリース

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AldecのRiviera-PROの最新バージョン(2013.02)がリリースされました。 リリースノートやダウンロードはユーザー登録が必要です。 今回のリリースで気に…

Hybrid Prototype

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Synopsysのブログ、Exploring the Benefits of a Hybrid Prototypeでは、 Virtualizer Development Kit (VDK)とHAPSによるHybrid Prototypeのお話。 VDK…

AlteraのOpenCLの新しいプレゼンテーション資料

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AlteraのOpenCLの新しい情報がFPGA2013 Pre-Conference Tutorialsにて、公開されました。 Harnessing the Power of FPGAs Using Altera's OpenCL Compil…

Mali-T600 Series GPU OpenCL Developer Guide

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ARMのMali-T600 Series GPU OpenCL Developer Guideが公開されました。 全88頁。Mali-T600に関することは、7章の「Optimizing OpenCL for Mali GPUs」に…

DVConの過去の資料

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今年のDVConは先週でしたが、過去の資料はこちらからアクセスできます。 週末の時間を使って、勉強しましょう! 検証、Verification

Epiphany SDK DriverとLibraryをオープンソース化

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Epiphany SDK Driver and Library Sources Published on Githubによると、 マルチコアEpiphany用のSDK DriverとLibraryをオープンソースで公開したよう…

10000時間

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ある領域の仕事や技術を身に付けるのには、だいたい10000時間必要です。 会社で8時間働くと年間250日働いて2000時間になり、5年で10000時間。 土日や平…

Verification Horizons、February 2013 | Volume 9

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった メンターのーVerification Horizons 、February 2013 | Volume 9, Issue 1のBringing Verification and Validation under One Umbrella は、 HDLシミュ…

TIのASSPでもOpenMP/OpenCLが利用できる

@Vengineerの戯言 : [http://mobile.twitter.com/vengineer Twitter] [http://sites.google.com/site/allofsystemverilog/ SystemVerilogの世界へようこそ]、[https://sites.google.com/site/allofsystemc/ すべては、SystemC v0.9公開から始まった] TIの[ht…

Nexus 10でOpenCL

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった OpenCL on the Nexus 10, A Simple Exampleによると、 Nexus 10のGPU(MALI T604)でOpenCLを動かすというものです。 MALI OPENCL SDKリリースで紹介したS…

祝、6周年

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 訪問者数は17万人(Yahooブログはビューの数ではなく、その日に訪問したユニーク人数)、 記事は2270を超えました。 早いもので、このブログを開設してか…

Verification 4.0

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった DVConの基調講演の内容がSemiWikiに Wally Rhines: Name That Graph!というタイトルでアップされました。 この記事には、 ・検証に使っている言語 ・検…

2月の映画鑑賞

映画好きの戯言 今月は14本でした。BDが2本と超字幕で1本、其れ以外は、GyaOといっても、ムービーLIFE@iPad ボンボン/EL PERRO(2004)(GyaO) グラン・トリノ/GRAN TORINO(2008)(超字幕) 忍者/終極忍者(2004)(GyaO) 宇宙戦争 ファイナルインパクト/ALIEN DAWN<…

日経エレクトロニクスの特集記事

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ET2013の帰りにアンケートで年間購読権をゲットした 日経エレクトロニクスの2013年3月4日号の特集1:異種プロセサ活用待ったなしに、 ヘテロジニアス・…