Vengineerの妄想(準備期間)

人生は短いけど、長いです。人生を楽しみましょう!

2015-01-01から1年間の記事一覧

「高位合成をディスカッションする会」のアンケート

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 「高位合成をディスカッションする会」のフィードバックの結果として、 参加者全員(20名)からアンケートをいただきました。 事前に積極的にディスカッシ…

OpenCL Caffe

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AMD research labがOpenCL版のCaffeをGitHub上に公開しました。 OpenCL version of caffe developed by AMD research lab ・すべてのレイヤーをOpenCLに…

Fixstarsの新ビジネス

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Fixstarsの新しいビジネス。Fixstars Cloud Solutions 成功報酬型のクラウドコスト削減サービス。 初期費用無料。その初期費用とは、 ・機密保持契約締…

Design Solution Forum 2015のその後

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Design Solution Forum 2015での小野さんの講演の記事がアップされました。 高位合成はシニアエンジニアの味方、筑波大の小野氏がVivado HLSを評価 全文…

HalideとDarkroom

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 三連休の時に、TwitterのTLで知った。 Halide, Darkroom – 画像処理並列化のためのソフトウェア Halideは2014年にちょっと探ってみたが、資料が学会発表…

バブルか?それとも本物か?

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ハーバード・ビジネス・レビューの[最新号(2015.11)の特集が、なんと、’’’人工知能’’’ おまけに、ビッグデータも機械学習も活かすのは、人と組織なるイ…

Kinoma HDのSoCとChromeCast 2.0のSoC

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった この記事によると、Kinoma HDのSoCはMarvell ARMADA 1500 Mini Plusで、 Cortex-A7x2コア + GPU付き。メモリは256MB そして、この記事によると、ChromeC…

Atlas-SoC

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった AlteraのSoC FPGAを搭載のボード、Atlas-SoC Atlas-SoC素晴らしいんじゃないのによると、TerasicのDE-Nano-SoCとHWは同じだけど、添付のSDカードが異な…

Marvell MoChi Chip

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった MarvellのMoChiを実装したチップAP806とARMADA A3700をアナウンス。 Marvell Introduces Industry's First Hyper-Scale Quad ARM Cortex-A72 and Dual C…

Topics in Parallel and Distributed Computing: Introducing Concurrency in Undergraduate Courses

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Topics in Parallel and Distributed Computing: Introducing Concurrency in Undergraduate Courses 360頁の書籍がPDFとしてダウンロードできます。 た…

幸せな選択、不幸な選択

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 定期的に本屋で新書を物色しています。 今回は、幸せな選択、不幸な選択――行動科学で最高の人生をデザインするを買いました。 紙の本ではなく、Kindle版…

55ドルからのZynqボード

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった snickerdoodleは、Zynq 7010を搭載したFPGAボード。 ベースボードは55ドル。メモリはLPDDR2 512MB、2.5GHz 802.11n Wifi + Bluetooth 4.0。 基本構成の…

Hemi 2 and CUDA 7.5

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Hemi 2を使えば、CUDAのコードを書かないで、GPUが利用できます。 CUDA 7.5ではC++ Lambda関数をサポートになったので、Hemi 2でもLambda関数が使えます…

Cortex-A入門編

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった ちょっと古いですが、Twitterで拾ったもの。 ARM初心者向け、連載講座、APS ACADEMY Cortex-A入門編(全17回) 第1回 第2回 第3回 第4回 第5回 第6回 第7…

Linaro Connect SFO2015

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Linaro connect SFO 2015が9/21〜9/25まで行われました。 すべてのセッションではありませんが、 プレゼンテーション資料とビデオ(Youtube)がアップされ…

安いKindleの中身とKindle TVの中身

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 日本でも9月30日に発売されたAmazon Kindle Fireは、税込み8980円。 プライム会員なら4980円と驚愕に値段に。で、どの程度の性能なのか? Amazonの技術…

「高位合成をディスカッションする会」のフィードバック

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 本番が終わったのここで終わりというわけにはいきません。 皆さんからのフィードバックを受けて、来年の企画に反映したいです。 参加していただいた皆さ…

「高位合成をディスカッションする会」の本番

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった いよいよ本番です。開始時間が10分遅れましたので、下記のように午前のセッションを修正。 午前のセッション:11:010~12:15 11:10-11:15、当日のスケジ…

「高位合成をディスカッションする会」の事前準備

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今回の「高位合成をディスカッションする会」は、長年やりたかった企画です。 いろいろなところで、「特定技術、ツールのディスカッション」を提案しま…

「高位合成をディスカッションする会」が始まるまで

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 昨日のDesign Solution Forum 2015は、台風が変身した強烈な低気圧の影響で朝から大雨。 4時頃目が覚めて、外みたら、ざーざーの雨。 新横浜まで無事着…

「高位合成をディスカッションする会」関連ツイート

「高位合成をディスカッションする会」当日のツイートをログとして残します。 https://s.yimg.jp/images/blog/html/twitter/twitter_enbed.html?data-url=https://twitter.com/Vengineer/status/649857209023655936&data-text=??蕭?篏??????????c?鴻?????激…

いよいよ本番 (Design Solution Forum 2015)

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今日は、Design Solution Forum 2015です。 長い間、実行委員、事務局の皆さんと準備をしてきました。 今回は、高位合成をディスカッションする会をやる…

高位合成をディスカッションする会:Synthesijer

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 「高位合成をディスカッションする会」週間、四日目。 明日が本番なので、今日は最終日。 今日は、オープンソース&JavaベースのSynthsijerです。 Synth…

高位合成をディスカッションする会:Alteraツール

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 「高位合成をディスカッションする会」週間、三日目。 今日は、Alteraの高位合成ツール関連です。 Alteraは、OpenCLコードをFPGA用にマッピングするツー…

高位合成をディスカッションする会:Xilinxツール

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 「高位合成をディスカッションする会」週間、二日目。 今日は、Xilinxの高位合成ツール関連です。 Xilinxの高位合成ツールのメインは、Vidado HLSです。…

高位合成をディスカッションする会:Synflowの紹介

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった 今週末(10/2:金)にDesign Solution Forum 2015が開催されます。 ということで、今週は「Design Solution Forum 2015」週間です。 といっても、私は「高…

C++ Core Guidlines

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった まだ全然読んでいないけれども、備忘録として。 Bjarne Stroustrup announces C++ Core Guidelines CppCon2015にて、オープニングキーノートとして発表…

9月の映画鑑賞

映画好きの戯言 9月は増えました。 X-MEN:フューチャー&パスト(2014)/X-MEN: DAYS OF FUTURE PAST(BD) パーフェクト・カップル(1998)/PRIMARY COLORS(TV) スプリング・ブレイカーズ(2012)/SPRING BREAKERS(DVD) セレーナ・ゴメスが出ているので見てみ…

OpenACC

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった NVIDIAは、OpenACCを推しています。 何故なら、プラグマ等を書くだけで、CUDAコードとそのホストコードを自動的に生成してくれるため。 そのために、PGI…

Adreno 5xx

@Vengineerの戯言 : Twitter SystemVerilogの世界へようこそ、すべては、SystemC v0.9公開から始まった Qualcommの新しいGPU、Adreno 5xx。 Snapdragon 820には、Adreno 530が搭載されています。 Adreno 430に比べて、グラフィックス、GPGPU両方とも40%の性…